aboutsummaryrefslogtreecommitdiffstats
path: root/vmware/svga.h
diff options
context:
space:
mode:
authorJaroslav Hensl <jara@hensl.cz>2024-07-22 18:49:18 +0200
committerJaroslav Hensl <jara@hensl.cz>2024-07-22 18:49:18 +0200
commit3a3ca1abfa25d3d595bbb966a261eaede765a80e (patch)
tree7c2a8327dde04975ff312f67bdc1b83d87535418 /vmware/svga.h
parentb62d9ec9bc8ba7db0fc191ba8458db3c70b253cf (diff)
downloadvmdisp9x-3a3ca1abfa25d3d595bbb966a261eaede765a80e.tar.gz
VMW vGPU10 (2d), IRQ work (in progress)
Diffstat (limited to 'vmware/svga.h')
-rw-r--r--vmware/svga.h5
1 files changed, 5 insertions, 0 deletions
diff --git a/vmware/svga.h b/vmware/svga.h
index 6fc4b72..fef77b6 100644
--- a/vmware/svga.h
+++ b/vmware/svga.h
@@ -108,6 +108,10 @@ typedef struct SVGADevice {
IntrContext newContext;
uint32 count;
} irq;
+#else
+ volatile struct {
+ uint32 pending;
+ } irq;
#endif
} SVGADevice;
@@ -144,6 +148,7 @@ void SVGA_DefaultFaultHandler(int vector);
Bool SVGA_IsSVGA3();
void SVGA_MapIO();
+uint8 SVGA_Install_IRQ();
void SVGA_Flush(void);